Ho lokolloa ha kernel ea Linux 5.3

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds tsebisoa ho lokolloa ha kernel Linux 5.3. Har'a liphetoho tse hlokomelehang: tšehetso bakeng sa AMD Navi GPUs, li-processor tsa Zhaoxi le Intel Speed ​​​​Select matla a taolo ea theknoloji, bokhoni ba ho sebelisa litaelo tsa umwait ho ema ntle le ho sebelisa lipotoloho,
mokhoa oa 'ts'ebeliso ea clamping' o eketsa tšebelisano bakeng sa li-CPU tsa asymmetric, pidfd_open system call, bokhoni ba ho sebelisa liaterese tsa IPv4 ho tsoa ho subnet ea 0.0.0.0/8, monyetla oa ho potlakisa lisebelisoa tsa nftables, tšehetso ea HDR tsamaisong e nyane ea DRM, kopanyo ea ACRN. hypervisor.

В phatlalatso tokollo e ncha, Linus o hopotse bohle ba ntlafatsang molao o ka sehloohong oa nts'etsopele ea kernel - ho boloka boitšoaro bo tšoanang bakeng sa likarolo tsa sebaka sa basebelisi. Liphetoho ho kernel ka tsela efe kapa efe ha lia lokela ho senya lits'ebetso tse seng li ntse li sebetsa kapa ho lebisa ho theoheng boemong ba mosebelisi. Tabeng ena, tlolo ea boitšoaro e ka baka eseng feela phetoho ho ABI, ho tlosoa ha khoutu ea khale, kapa ponahalo ea liphoso, empa hape le phello e sa tobang ea ntlafatso e sebetsang e sebetsang ka nepo. E le mohlala oa papiso e ne e le lahletsoe molemo tshebetso ka khoutu ea Ext4, e fokotsang palo ea phihlello ea koloi ka ho thibela ho bala pele ho tafole ea li-inode bakeng sa likopo tse nyane tsa I/O.

Ho ntlafatsa ho ile ha lebisa tabeng ea hore, ka lebaka la ho fokotseha ha mosebetsi oa disk, entropy bakeng sa getrandom () jenereithara ea nomoro e sa tloaelehang e ile ea qala ho bokella butle-butle le ka mekhoa e meng, tlas'a maemo a itseng, ho qhoqhoa ho ne ho ka bonoa nakong ea ho laela ho fihlela letamo la entropy le ne le le teng. tlatsitsoe. Kaha optimization e hlile e na le thuso, puisano e ile ea hlaha har'a bahlahisi moo ho ileng ha etsoa tlhahiso ea ho lokisa bothata ka ho tima mokhoa oa ho thibela ka ho feletseng oa getrandom () call le ho eketsa folakha ea boikhethelo ho emela entropy, empa phetoho e joalo e tla ama boleng ba linomoro tse sa reroang qalong ea ho kenya.

Mofuta o mocha o kenyelletsa litokiso tse 15794 ho tsoa ho baetsi ba 1974,
boholo ba patch - 92 MB (liphetoho li amme lifaele tsa 13986, li kenyellelitse mela ea 258419 ea khoutu,
599137 mela e tlositsoe). Hoo e ka bang 39% ea bohle ba hlahisitsoeng ho 5.3
liphetoho li amana le bakhanni ba lisebelisoa, hoo e ka bang 12% ea liphetoho li
maikutlo mabapi le ho ntlafatsa khoutu e khethehileng ho meralo ea Hardware, 11%
e amanang le stack ea marang-rang, 3% ho tsamaiso ea lifaele le 3% ho ea ka hare
li-subsystem tsa kernel.

ka sehloohong boiqapelo:

  • Litšebeletso tsa memori le tsamaiso
    • Nts'etsopele e tsoelang pele ea ts'ebetso ea 'pidfd' ho thusa ho sebetsana le maemo a ts'ebeliso ea PID (pidfd e amahanngoa le ts'ebetso e itseng 'me ha e fetohe, athe PID e ka amahanngoa le ts'ebetso e' ngoe kamora hore ts'ebetso ea hajoale e amanang le PID eo e felile). E kile ea eketsoa kernel
      pidfd_send_signal() call system le CLONE_PIDFD folaga ho clone() call ho fumana pidfd bakeng sa ho sebelisoa ho idfd_send_signal(). Ho sebelisa clone() e nang le folakha ea CLONE_PIDFD ho ka baka mathata ho batsamaisi ba lits'ebeletso kapa sistimi e sa hopotseng ea sethala sa Android. Tabeng ena, mohala oa fork() kapa clone() ntle le CLONE_PIDFD o sebelisoa ho qala.

      Kernel 5.3 e hlahisitse mohala oa sistimi pidfd_open(), e u lumellang hore u fumane pidfd e ka hlahlojoang bakeng sa ts'ebetso e seng molaong e sa etsoang ka ho letsetsa clone() le folakha ea CLONE_PIDFD. E boetse e kenyelelitsoe ts'ehetso bakeng sa likhetho tsa pidfd ho sebelisa poll() le epoll(), e lumellang batsamaisi ba ts'ebetso ho latela ho felisoa ha lits'ebetso tse ikemetseng ntle le tšabo ea boemo ba morabe haeba PID e abeloa ts'ebetso e ncha. Mokhoa oa ho tsebisa ha ts'ebetso e amanang le pidfd e felile o tšoana le ho tsebisa ha ts'ebetso ea ngoana e felile;

    • Tšehetso ea mochine oa pinning ea mojaro e kenyelelitsoe ho kemiso ea mosebetsi (Tšebeliso ea clamping), e o lumellang ho latela maemo a fokolang kapa a phahameng ka ho fetesisa, ho latela mesebetsi e sebetsang ho CPU. Mochine o hlahisitsoeng o potlakisa mesebetsi e amang ka ho toba boleng ba phihlelo ea mosebedisi ka ho etsa mesebetsi ena bonyane karolong e ka tlaase ea "frequency" e kōptjoang. Mesebetsi ea boemo bo tlaase e sa ameng mosebetsi oa mosebedisi e qalisoa ho sebelisoa moeli o ka holimo oa "tumello" ea maqhubu. Meeli e behiloe ka sched_uclamp_util_min le sched_uclamp_util_max litšobotsi tsa sched_setattr() tsamaiso ea mohala.
    • Tšehetso e ekelitsoeng bakeng sa theknoloji ea tsamaiso ea matla Intel Speed ​​​​Khetha, e fumanehang ho li-server tse khethiloeng tse nang le li-processor tsa Intel Xeon. Theknoloji ena e u lumella ho beha litlhophiso tsa ts'ebetso le karohano bakeng sa li-cores tse fapaneng tsa CPU, tse u lumellang hore u etelletse pele ts'ebetso bakeng sa mesebetsi e etsoang ho li-cores tse itseng, ho tela ts'ebetso ho li-cores tse ling;
    • Mekhoa e sebakeng sa basebelisi nehetsoe bokgoni ba ho ema nako e kgutshwane ntle le ho sebedisa loops ka ho sebedisa taelo ya umait. Taelo ena, hammoho le litaelo tsa umonitor le tpause, li tla fanoa ka "Tremont" chips e tlang ea Intel, 'me e tla lumella ho kenngoa ha tieho e sebetsang hantle le e sa ameng ts'ebetso ea likhoele tse ling ha u sebelisa Hyper Threading;
    • Bakeng sa meralo ea RISC-V, tšehetso ea maqephe a maholo a memori e kentsoe;
    • Mochini oa ho latedisa oa kprobes o kentse bokhoni ba ho lahla lintlha tsa kernel sebakeng sa mosebelisi, tse ka sebelisoang, mohlala, ho lekola likahare tsa meaho e fetisetsoang mehalang ea sistimi. Bokhoni ba ho kenya licheke sethaleng sa boot bo boetse bo ekelitsoe.
    • E kentse khetho ea PREEMPT_RT faeleng ea tlhophiso bakeng sa ts'ebetso ea nako ea nnete. Khoutu ka boeona ea ho tšehetsa mokhoa oa nako ea sebele ha e e-s'o ekeletsoe ho kernel, empa ponahalo ea khetho ke pontšo e ntle ea hore epic ea nako e telele. kopanyo Li-patches tsa Realtime-Preempt li haufi le ho phethoa;
    • E kentse mohala oa sistimi ea clone3 () le ts'ebetsong ea mofuta o atolositsoeng haholoanyane oa sebopeho sa clone(), se lumellang ho hlakisa palo e kholoanyane ea lifolakha;
    • E kenyellelitsoe bpf_send_signal() handler, e lumellang mananeo a BPF ho romela lipontšo ho mekhoa e sa lumellaneng;
    • Bakeng sa liketsahalo tsa perf tikolohong ea hypervisor ea KVM, ho kentsoe mokhoa o mocha oa ho sefa liketsahalo, o lumellang mookameli hore a tsebe hore na ke mefuta efe ea liketsahalo tse lumelloang kapa tse sa lumelloeng bakeng sa ho beha leihlo lehlakoreng la tsamaiso ea baeti;
    • Bokhoni ba ho sebetsana le mananeo a nang le li-loops bo kenyelelitsoe ho mokhoa oa ho netefatsa kopo ea eBPF haeba ts'ebetso ea loop e lekanyelitsoe mme e ke ke ea lebisa ho feta moeli ho palo e kholo ea litaelo;
  • Disk Subsystem, I/O le File Systems
    • Sistimi ea faele ea XFS joale e ts'ehetsa li-inode bypass tse nang le likhoele tse ngata (mohlala, ha u hlahloba li-quotas). Li-ioctls tse ncha BULKSTAT le INUMBERS li kenyelelitsoe, ho fana ka phihlelo ea likarolo tse hlahang khatisong ea bohlano ea mokhoa oa FS, joalo ka nako ea tsoalo ea inode le bokhoni ba ho beha litekanyetso tsa BULKSTAT le INUMBERS bakeng sa sehlopha ka seng sa AG (Lihlopha tsa Kabo);
    • Ho Ext4 tshehetso e ekeditsweng li-voids ho li-directory (li-blocks tse sa amaneng).
      Ts'ebetso e fanoe folakha "i" (e sa fetoheng) bakeng sa lifaele tse bulehileng (ho ngolla ho thibetsoe boemong haeba folakha e ne e behiloe ka nako eo faele e neng e se e bulehile);

    • Btrfs e fana ka tlhaloso ea ts'ebetsong e potlakileng ea crc32c meahong eohle ea meralo;
    • Ho CIFS, khoutu ea tšehetso ea smbdirect e tlositsoe e le nts'etsopele ea liteko. SMB3 e kentse bokhoni ba ho sebelisa li-algorithms tsa cryptographic ka mokhoa oa GCM. E kentse khetho e ncha ea ho phahamisa ho ntša li-parameter tsa mode ho tsoa ho ACE (Access Control Entry). E ntlafalitse ts'ebetso ea mohala o bulehileng ();
    • E kentse khetho ho F2FS ho fokotsa moqotetsi oa lithōle ha o sebetsa sebakeng sa ho hlahloba=thibela mokhoa. E kenyellelitsoe ioctl ho tlosa mekhahlelo ea li-block ho tloha F2FS, e lumellang hore ho be le liphetoho tsa boholo ba karohano ea sefofaneng. E kenyellelitse bokhoni ba ho beha faele ea swap ho F2FS ho fana ka I/O e tobileng. Ts'ehetso e ekelitsoeng ea ho kenya faele le ho fana ka li-block bakeng sa lifaele tse tšoanang bakeng sa basebelisi bohle;
    • Ts'ehetso e ekelitsoeng bakeng sa ts'ebetso ea asynchronous sendmsg() le recvmsg() ho sehokelo bakeng sa ho kenya letsoho ka mokhoa o sa tsitsang/output io_uring;
    • Tšehetso ea ho hatella ka ho sebelisa algorithm ea zstd le bokhoni ba ho netefatsa litšoantšo tsa FS tse saenneng li kentsoe tsamaisong ea faele ea UBIFS;
    • Ceph FS joale e tšehetsa mangolo a tšireletso a SELinux bakeng sa lifaele;
    • Bakeng sa NFSv4, khetho e ncha ea "nconnect =" e kentsoe ts'ebetsong, e khethollang palo ea likhokahano tse thehiloeng le seva. Sephethephethe lipakeng tsa likhokahano tsena se tla ajoa ka ho leka-lekanya mojaro. Ho phaella moo, seva sa NFSv4 joale se etsa directory / proc/fs/nfsd/clients tse nang le tlhahisoleseding e mabapi le bareki ba hona joale, ho kenyelletsa le tlhahisoleseding e mabapi le lifaele tseo ba li butseng;
  • Virtualization le Tšireletso
    • Kernel e kenyelletsa hypervisor bakeng sa lisebelisoa tse kentsoeng ACRN, e ngotsoeng ka leihlo la ho itokisetsa mesebetsi ea nako ea sebele le ho tšoaneleha bakeng sa tšebeliso ea mekhoa ea bohlokoa ea morero. ACRN e fana ka chelete e fokolang, e tiisang latency e tlaase le karabelo e lekaneng ha e sebelisana le lisebelisoa. E ts'ehetsa virtualization ea lisebelisoa tsa CPU, I/O, subsystem ea marang-rang, lits'oants'o le ts'ebetso ea molumo. ACRN e ka sebelisoa ho tsamaisa mechine e mengata e ikemetseng e ikemetseng likarolong tsa taolo ea elektronike, li-dashboards, lisebelisoa tsa tlhahisoleseding ea likoloi, lisebelisoa tsa IoT tsa bareki le theknoloji e 'ngoe e kentsoeng;
    • Ka mokhoa oa mosebelisi oa Linux eketsa Mokhoa oa ho tsamaea ka nako, o o lumellang ho fokotsa kapa ho potlakisa nako tikolohong ea UML ho etsa hore ho be bonolo ho lokisa khoutu e amanang le nako. Ho feta moo, parameter e kentsoe
      nako-travel-start, e lumellang oache ea sistimi ho qala ho tloha motsotsong o boletsoeng ka sebopeho sa epoch;

    • Likhetho tse ncha tsa taelo ea kernel "init_on_alloc" le "init_on_free" li ekelitsoe, ha ho boletsoe, ho nolofalloa ha libaka tsa memori tse abetsoeng le tse lokolotsoeng (ho tlatsa zero bakeng sa malloc le mahala), e lumellang ho eketsa ts'ireletso ka lebaka la ho qala ho eketsehileng ka holimo;
    • Mokhanni e mocha o kentse virtio-iommu ka ho kenya ts'ebetsong sesebelisoa sa paravirtualized se u lumellang ho romela likopo tsa IOMMU tse kang ATTACH, DETACH, MAP le UNMAP holim'a lipalangoang tsa virtio ntle le ho etsisa litafole tsa maqephe a memori;
    • Mokhanni e mocha o kentse virtio-pmem, e emelang phihlello ea lisebelisoa tsa polokelo tse entsoeng 'mapeng sebakeng sa liaterese, joalo ka li-NVDIMM;
    • Ho kenngwa tshebetsong bokhoni ba ho hokela linotlolo cryptographic ho mosebedisi kapa netweke namespace (linotlolo fetoha ke keng ea fumaneha ka ntle ho khethiloe namespace), hammoho le sireletsa linotlolo sebelisa ACLs;
    • Ho sistimi e tlase ea crypto eketsoe tšehetso bakeng sa algorithm ea hashing e potlakileng haholo e seng ea cryptographic xxhash, lebelo la eona le itšetlehileng ka ts'ebetso ea mohopolo;
  • Sistimi e nyane ea marang-rang
    • E lumelletse ts'ebetso ea liaterese tsa IPv4 mofuteng oa 0.0.0.0/8, oo pele o neng o sa fumanehe bakeng sa tšebeliso. Selelekela sa subnet ena e tla dumella aba liaterese tse ling tsa IPv16 tse limilione tse 4;
    • Ho Netfilter bakeng sa li-nftables eketsoe ts'ehetso bakeng sa mekhoa ea ho potlakisa lisebelisoa bakeng sa ho sefa lipakete ka tšebeliso ea bakhanni ba ekelitsoeng Flow Block API. Litafole tsohle tsa melao tse nang le liketane tsohle li ka behoa ka lehlakoreng la li-adapter tsa marang-rang. Ho thusa ho etsoa ka ho tlamella folakha ea NFT_TABLE_F_HW tafoleng. E ts'ehetsa metadata e bonolo ea Layer 3 le Layer 4 protocol, amohela / hana liketso, limmapa ka IP le likou tsa marang-rang tsa moromeli / moamoheli le mofuta oa protocol;
    • E kentsoe ts'ehetso ea ho latela marang-rang e hahiloeng bakeng sa marokho a marang-rang, a sa hlokeng tšebeliso ea br_netfilter emulating layer;
    • Ho nf_tafole eketsoe ts'ehetso bakeng sa mojule oa SYNPROXY, o pheta-phetang ts'ebetso e ts'oanang ho tsoa ho li-iptables, le bokhoni ba ho hlahloba melao bakeng sa likhetho tsa motho ka mong ho hlooho ea IPv4 e boetse e kenngoa ts'ebetsong;
    • E ekelitse bokhoni ba ho hokela mananeo a BPF ho setsockopt() le getsockopt() system call, eo, ka mohlala, e u lumellang ho hokela bahatelli ba hau ba phihlello ho mehala ena. Ho phaella moo, sebaka se secha sa mohala (hook) se ekelitsoe, seo ka sona u ka hlophisang mohala ho ea lenaneong la BPF hang ka nako e 'ngoe le e' ngoe ea RTT (nako ea ho ea le ho khutla, nako ea ping);
    • Bakeng sa IPv4 le IPv6 eketsa mochini o mocha oa ho boloka data oa nexthop o reretsoeng ho eketsa sekhahla sa litafole tsa routing. Liteko li bontšitse hore ha ho sebelisoa tsamaiso e ncha, sete ea litsela tse likete tse 743 li ile tsa kenngoa ka har'a kernel ka metsotsoana e 4.3 feela;
    • Bakeng sa Bluetooth kenngwa tshebetsong tshebetso e hlokahalang ho tšehetsa LE ping;
  • Lisebelisoa
    • E kentsoe tšehetso bakeng sa li-processor tse tsamaellanang le x86 tsa k'hamphani Zhaoxin, e entsoeng ka lebaka la morero o kopanetsoeng pakeng tsa VIA Technologies le Masepala oa Shanghai. Lelapa la ZX CPU le hahiloe holim'a moaho oa x86-64 oa Esaia, ho ntšetsa pele nts'etsopele ea theknoloji VIA Centaur;
    • The DRM (Direct Rendering Manager) subsystem, hammoho le li-graphics tsa amdgpu le i915, li ekelitse ts'ehetso bakeng sa ho arola, ho sebetsa le ho romella metadata ea HDR (high dynamic range) ka boema-kepe ba HDMI, e lumellang tšebeliso ea liphanele tsa HDR le li-skrini tse khonang ho etsa joalo. ho bonts'a mekhahlelo e eketsehileng ea khanya;
    • Mokhanni oa amdgpu o kentse tšehetso ea pele bakeng sa AMD NAVI GPU (RX5700), e kenyelletsang mokhanni oa motheo, khoutu ea ho sebelisana le skrine (DCN2), GFX le tšehetso ea compute (GFX10),
      SDMA 5 (System DMA0), taolo ea matla le li-encoder/decoder tsa multimedia (VCN2). amdgpu e boetse e ntlafatsa tšehetso bakeng sa likarete tse thehiloeng ho Vega12 le Vega20 GPUs, tseo memori e eketsehileng le matla a ho laola matla a kenyelitsoeng;

    • Ts'ehetso e ekelitsoeng bakeng sa likarete tse thehiloeng ho VegaM GPUs ho mokhanni oa amdkfd (bakeng sa li-GPU tsa discrete, joalo ka Fiji, Tonga, Polaris);
    • Ho mokhanni oa DRM bakeng sa likarete tsa video tsa Intel bakeng sa li-chips tsa Iceland kenngwa tshebetsong mokhoa o mocha oa ho lokisa li-gamma tse nang le likarolo tse ngata. E kenyellelitse bokhoni ba ho hlahisa ka DisplayPort ka sebopeho sa YCbCr4: 2: 0. Firmware e ncha e kentsoe GuC bakeng sa SKL, BXT, KBL, GLK le ICL. Bokhoni ba ho tima matla a skrine ka mokhoa oa asynchronous bo kentsoe tšebetsong. E kentsoe tšehetso bakeng sa ho boloka le ho tsosolosa moelelo oa ho fana ka li-chips tsa Ironlake (gen5) le gen4 (Broadwater - Cantiga), e leng se u lumellang hore u tsosolose boemo ba GPU ho tloha sebakeng sa mosebedisi ha u tloha mosebetsing o mong ho ea ho o mong;
    • Mokhanni oa Nouveau o fana ka ho lemoha chipset ea NVIDIA Turing TU116;
    • Bokhoni ba mokhanni oa DRM/KMS bakeng sa li-accelerator tsa skrini tsa ARM Komeda (Mali D71) li ekelitsoe, tšehetso ea ho lekanya, ho arola/ho kopanya likarolo, ho potoloha, ho ngola ka morao, AFBC, SMMU le liforomo tsa encoding tsa mebala Y0L2, P010, YUV420_8/10BIT e na le. li ekelitsoe;
    • Mokhanni oa MSM o eketsa tšehetso bakeng sa letoto la A540 GPU Adreno le sebelisoang ho li-processor tsa Qualcomm, hammoho le tšehetso bakeng sa molaoli oa MSM8998 DSI bakeng sa Snapdragon 835;
    • Bakhanni ba kenyellelitsoeng bakeng sa lisebelisoa tsa LCD Samsung S6E63M0, Armadeus ST0700, EDT ETM0430G0DH6, OSD101T2045-53TS,
      Evervision VGG804821, FriendlyELEC HD702E, KOE tx14d24vm1bpa, TFC S9700RTWV43TR-01B, EDT ET035012DM6 le VXT VL050-8048NT-C01;

    • E kentsoe mokhanni bakeng sa ho nolofalletsa lisebelisoa tsa ho potlakisa li-decoding
      livideo tse fumanehang ho Amlogic Meson SoC;

    • Ho mokhanni oa v3d (bakeng sa Broadcom Video Core V GPU e sebelisitsoeng ho Raspberry Pi) e hlahile tšehetso ho romela li-shaders tsa compute;
    • E kentsoe mokhanni oa likonopo tsa SPI le li-trackpads tse sebelisoang mefuteng ea sejoale-joale ea lilaptop tsa Apple MacBook le MacBookPro;
    • E kentsoe tshireletso e eketsehileng bakeng sa mehala ea ioctl e amanang le mokhanni oa floppy, mme mokhanni ka boeena o tšoauoa e le ea sa hlokomeloeng
      (“khutsana”), e leng se bolelang ho felisoa ha teko ea eona. Mokhanni o ntse a bolokiloe ka har'a kernel, empa ts'ebetso ea eona e nepahetseng ha e na tiiso. Mokhanni o nkoa e le oa khale, kaha ho thata ho fumana lisebelisoa tsa ho sebetsa bakeng sa ho e hlahloba - lisebelisoa tsohle tsa morao-rao tsa ka ntle, e le molao, li sebelisa sebopeho sa USB.

    • E kentsoe mokhanni oa cpufreq bakeng sa liboto tsa Raspberry Pi, e u lumellang ho laola ka matla liphetoho tsa maqhubu a processor;
    • Tšehetso e ekelitsoeng bakeng sa ARM e ncha ea SoC Mediatek mt8183 (4x Cortex-A73 + 4x Cortex-A53), TI J721E (2x Cortex-A72 + 3x Cortex-R5F + 3 DSPs + MMA) le Amlogic G12B (4x Cortex-A73tex 2 +- - A53 ), hammoho le liboto:
      • Purism Librem5,
      • lebelo la BMC,
      • Microsoft Olympus BMC,
      • Kontron SMARC,
      • Novtech Meerkat96 (i.MX7),
      • ST Micro Avenger96,
      • Google Cheza (Qualcomm SDM845),
      • Qualcomm Dragonboard 845c (Qualcomm SDM845),
      • Hugsun X99 TV Box (Rockchip RK3399),
      • Khadas Edge/Edge-V/Captain (Rockchip RK3399),
      • HiHope RZ/G2M,
      • Tlhaloso: NXP LS1021A-TSN.

Ka nako e ts'oanang, Latin American Free Software Foundation thehoa
khetho kernel e sa lefelloeng ka ho feletseng 5.3 - Linux mahala 5.3-gnu, e hlakotsoe ka lisebelisoa tsa firmware le mokhanni tse nang le likarolo tse sa lefelloeng kapa likarolo tsa khoutu, sebaka sa eona se lekanyelitsoeng ke moetsi. Tokollong e ncha, blob loading e koetsoe ho bakhanni ba qcom, hdcp drm, allegro-dvt le meson-vdec.
Khoutu e ntlafalitsoeng ea ho hloekisa blob ho li-drivers le subsystems amdgpu, i915, netx, r8169, brcmfmac, rtl8188eu, adreno, si2157, pvrusb2, touchscreen_dmi, mokhanni oa molumo oa skylake, hammoho le litokomaneng tsa microcode.

Source: opennet.ru

Eketsa ka tlhaloso