Iphrojekthi ye-Open Compute yakha isixhumi esibonakalayo esihlanganisiwe sama-chiplets

Ama-chips anamakristalu amaningana ephaketheni elilodwa awasemusha. Ngaphezu kwalokho, amasistimu ahlukahlukene afana ne-AMD Rome anqoba imakethe ngenkuthalo. Umuntu ofa kuma-chips anjalo ngokuvamile ubizwa ngokuthi i-chiplet.

Ukusetshenziswa kwama-chiplets kukuvumela ukuthi ukwandise inqubo yezobuchwepheshe futhi unciphise izindleko zokukhiqiza ama-processor ayinkimbinkimbi; Umsebenzi wokukala nawo wenziwa lula kakhulu. Ubuchwepheshe be-Chiplet bunezindleko zabo, kodwa i-Open Compute Project inikeza isixazululo. OCP, siyakukhumbuza, lokhu inhlangano, lapho ababambiqhaza bayo babelana khona ngentuthuko emkhakheni wesoftware nokwakhiwa kwezingxenyekazi zekhompuyutha zezikhungo zedatha zesimanje kanye nezisetshenziswa zabo. Sikhuluma ngaye izikhathi ezingaphezu kwesisodwa utshele kubafundi bethu.

Iphrojekthi ye-Open Compute yakha isixhumi esibonakalayo esihlanganisiwe sama-chiplets

Abantu abaningi basebenzisa ama-chiplets kulezi zinsuku. Akuwona kuphela i-AMD esukile isuka kuma-monolithic processor cores yaya “kumaphrosesa apakishiwe”, i-Intel Stratix 10 noma iHuawei Kunpeng chips anesakhiwo esifanayo. Kungase kubonakale sengathi i-modular, i-chiplet architecture ivumela ukuguquguquka okukhulu, kodwa okwamanje lokhu akunjalo - bonke abakhiqizi basebenzisa uhlelo lwabo lokuxhuma (isibonelo, ku-AMD yi-Infinity Fabric). Ngokufanelekile, izinketho zesakhiwo se-chip zikhawulelwe kunqolobane yomkhiqizi oyedwa. Okungcono kakhulu, ama-chiplets avela kubathuthukisi abahlangene noma abangaphansi angasetshenziswa.

Iphrojekthi ye-Open Compute yakha isixhumi esibonakalayo esihlanganisiwe sama-chiplets

I-Intel izama ukuxazulula le nkinga ngokusebenzisana ne-DARPA futhi iphromothe izinga elivulekile Ibhasi le-Advanced Interface (AIB). Unombono wakhe wendaba Vula Iphrojekthi Yekhompyutha: emuva ngo-2018, i-consortium yakha iqembu elincane I-Open Domain-Specific Architecture (ODSA), wahlanganyela ocwaningweni lwale nkinga. Indlela ye-OCP ibanzi kune-Intel; umgomo womhlaba wonke uwukuhlanganiswa okuphelele kwemakethe ye-chiplet. Lokhu kufanele kube lula ngangokunokwenzeka ukwakhiwa kwezixazululo eziqondile zezakhiwo ezingahlanganisa ama-chiplets ezinhlobo ezahlukene kanye nabakhiqizi: ama-tensor coprocessors, ama-accelerator enethiwekhi kanye ne-cryptographic, ngisho nama-ASIC wezimayini ze-cryptocurrency.


Iphrojekthi ye-Open Compute yakha isixhumi esibonakalayo esihlanganisiwe sama-chiplets

Inqubekelaphambili ye-ODSA iqinile: uma ngesikhathi somhlangano wokuqala weqembu ngo-2018, izinkampani eziyisikhombisa kuphela zokuthuthukiswa zifakwe kuyo, manje manje inani labahlanganyeli selifinyelele cishe ikhulu. Umsebenzi uyaqhubeka, kodwa kunezinkinga eziningi okufanele zixazululwe: isibonelo, inkinga akukhona nje ukuntuleka kokuxhumana okuhlangene okuhlangene - kuyadingeka ukuthuthukisa nokwamukela izinga elivumela ukuhlanganisa ama-chiplets ngokusebenza okuhlukene, ukuxazulula izinkinga nge. ukupakisha nokuhlola izixazululo ze-multi-chiplet esezilungile, hlinzeka ngamathuluzi okuthuthukisa, futhi uqonde izindaba eziphathelene nempahla yengqondo, nokunye okuningi.

Kuze kube manje, imakethe yezixazululo ezisekelwe ku-chiplets evela kubakhiqizi abahlukene isencane. Isikhathi kuphela esizosho ukuthi indlela ezowina ngayo.



Source: 3dnews.ru

Engeza amazwana